Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Help needed on FPGA IOs

Status
Not open for further replies.

hallovipin

Member level 1
Joined
Dec 23, 2009
Messages
40
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,286
Activity points
1,638
Friends,

My doubt is that whether we need to do something special to connect FPGA IOs to ADC output (something in programming) or its simple.

I connected AD9224 40 MHZ ADC to SPartan 3 FPGA. As long as I give only clock signal to ADC from FPGA data appears at the output of ADC but when I connect IOs to ADC output data at the ADC pins disappears (its like noise and less than 3.3 V in aplitude).

any comment
 

Sounds like the FPGA IO/s aren't correctly configured as inputs. Both ADC and FPGA can draw excessive currents or may be even permanently damaged.
 

@ FVM

would u kindly mention ..what do u mean by "properly configured as input". In programming I have declared them as input. FPGA is functioning correctly when I run other programs.

Can this be a problem with ADC.
 

what do u mean by "properly configured as input"
declaring the signals as input and assigning the correct pin number
Can this be a problem with ADC
I don't see, how. All operation conditions (power supply, clock) should be kept, of course.
 

Status
Not open for further replies.

Similar threads

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top