Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Looking for a code for SPI interface in VHDL

Status
Not open for further replies.

ZigaM

Junior Member level 2
Joined
Apr 15, 2010
Messages
23
Helped
3
Reputation
6
Reaction score
2
Trophy points
1,283
Location
Maribor - Slovenia
Activity points
1,404
Hello.

Does anyone has a working code for SPI interface in VHDL?

I have allready cheked this forum and found one code, but the author is not sure if this code works.

Thanks.
 

Re: SPI in VHDl

Go to www.opencores.org
They have two VHDL implementations for SPI. The first is OPB to SPI and the second is SPI flash controller.
--
Amr
 

    ZigaM

    Points: 2
    Helpful Answer Positive Rating
Status
Not open for further replies.

Similar threads

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top