Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Time delay in SV Assertions

Status
Not open for further replies.

vivek4m

Newbie level 5
Joined
Nov 12, 2007
Messages
8
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
1,333
Hi Friends,

I need to use a time delay (not clock cycles delay) in one of my systemverilog assertions. It will be a great help if anyone could give me an insight of whether I can do it or not? If yes, then how can I do it.

I am looking for a way to implement something like this:

property delay_problem;
@(posedge clk) disable iff(reset)
seq_a |-> #50 seq_b;
endproperty


For this I get an error:
Error-[SE] Syntax error: token is '#'

Please help.

Thanks & Regards
Vivek
 

vivek4m said:
Hi Friends,

I need to use a time delay (not clock cycles delay) in one of my systemverilog assertions. It will be a great help if anyone could give me an insight of whether I can do it or not? If yes, then how can I do it.

I am looking for a way to implement something like this:

property delay_problem;
@(posedge clk) disable iff(reset)
seq_a |-> #50 seq_b;
endproperty


For this I get an error:
Error-[SE] Syntax error: token is '#'

Please help.

Thanks & Regards
Vivek

Vivek,
SVA uses cycle based semantics - meaning it requires a clock (or more). You can however achieve this by calling a sequence_match_item that can be a task call on the consequent.

Pseudo-code below:

Code:
property delay_problem;
  @(posedge clk) disable iff(reset)
  seq_a |-> 1,b1, check_after_50();
endproperty

  task check_after_50();
    #50ns; if (seq_b == 1) ..;

HTH
TeamCVC
www.cvcblr.com/blog
 

Thanks a lot. I think it will help me :D .
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top