Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Converting ascii to binary VHDL serial RS232

Status
Not open for further replies.

blueice2

Newbie level 1
Joined
Apr 9, 2010
Messages
1
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
1,288
I am trying to send a command followed by a set of data through the serial comm.
E.g. The application from the PC writes char "S1000E" to the serial. The FPGA UART receives this as "S" "1" "0" "0" "0" "E" (I have it write back to HyperTerminal). In ASCII, "1" = 31(hex) or 49(dec) or 11001(bin).
The question is... how do I store the value 1000(dec) to a register in bits? I understand I will somehow need to convert the ascii 1 to bin 1 and have a shift register to store the values... I just don't know how...

Cheers,
Will
 

if you use dockligh(another hyperterminal program) you can send data in ascii or binary.
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top