Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Help regarding VHDL code for 3 phase sinusoidal PWM

Status
Not open for further replies.

divya06

Newbie level 1
Joined
Feb 3, 2010
Messages
1
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Location
sivakasi
Activity points
1,289
hi,
am doing project in three phase PWM rectifier for harmonic reduction.can any one send me the VHDL code for three phase PWM generation using sinusoidal PWM technique.PWM rectifier consists of 6 MOSFET switchs.the conduction of each switch is 120 degree and interval between 2 switchs is 60 degrees.the frequency of sine wave is 50 Hz and frequency of carrier wave is 1 KHz.i have completed my simulation work.here i have attached the circuit details,simulation model and pulse output..pls help me.....am in need to complete my project within this month.
 

Hi,
I'm interested in your PWM generator.
I have to generate a PWM signal using a triangular wave and an audio signal, I assume it looks like what you were looking after. Were you able to make it? Could I perhaps take a look at it? If you still need help, I have some VHDL background, but this could make my life a bit easier!
Greetings!
 
Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top