Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Is $readmemb synthesisable in Xilinx and Synopsys?

Status
Not open for further replies.

vivek_p

Advanced Member level 4
Joined
Feb 16, 2010
Messages
115
Helped
10
Reputation
24
Reaction score
9
Trophy points
1,298
Activity points
2,009
$readmemb

Is $readmemb synthesisable in Xilinx and Synopsys? How can it actually initialise the block (I mean the hardware perspective)
 

Then how can I initialize a ROM
 

Hi,

You can store initial values of the rom in a text file.
$readmemb function can be used to read it in 0 simulation time.

refer samir palnitkar book for this.

---Hemal
 

I meant I should be able to initalise and synthesise the design
 

vivek_p said:
I meant I should be able to initalise and synthesise the design

use memory compiler to generate a rom.
Once you have .lib file, you can synthesize.
 

    vivek_p

    Points: 2
    Helpful Answer Positive Rating
Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top