Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Searching for stepper Motor VHDL/VERILOG sources code

Status
Not open for further replies.

yodathegreat

Member level 3
Joined
Feb 23, 2002
Messages
56
Helped
1
Reputation
2
Reaction score
0
Trophy points
1,286
Activity points
360
vhdl code for stepper motor

Hi All,

I searching some VHDL/VERILOG sources code
for stepper Motor.

Thank you in advance

best regards
 

stepper motor vhdl

What you want to achieve by that?

Just generate the phase signals for it or also feedback current limit signals?

Most designs I´ve seen use simple ttl logic or 16v8 GAL so verilog/vhdl is overkill...

Or you want to implement position counters as well?
 

stepper motor verilog code

**broken link removed**

see the stepper motor control example with code
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top