Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

how cordic works in finding sin and cos for the given angle?

Status
Not open for further replies.

alangs

Member level 3
Joined
Feb 5, 2010
Messages
57
Helped
3
Reputation
6
Reaction score
3
Trophy points
1,288
Location
india
Activity points
1,681
Cordic algorithm

plz somebody can explain how cordic works in finding sin and cos for the given angle.....by reading the documents its very difficult to understand for me....so plz explain with simple words.....
 

Re: how cordic works in finding sin and cos for the given an

Cordic just uses add&subtract according to algorithm.. There are special angle values.. By adding&subtracting those angle values accordingly, you get sin and cos of angle..
Pretty easy to implement trigonometric functions by CORDIC

Good luck..
 

Re: how cordic works in finding sin and cos for the given an

culd u plz explain in detail....upto writing verilog code level....wat do you mean by special angle value??
 

Hi,
I am having problem in implementing cordic base sine and cosine computation in verilog HDL too.
I wonder if you have successfully conducted your code and perhaps I be happy to get some guidance from you for my final year project.

There are a few confusion thoughout my project :

1) is the shifter a sign extension shifter? Do i have to make it a 16iterations shifter if my input is 16bits?

2) how to I convert my angle input in binary form in order to be added or subtracted with the tanangle input from the arctan look up table?

3) for the iteration.. do i initialize with x=0.607 and y=0 and z=thetain follow by a for loop ( i=1;i<16;i=i+1)? I failed to compute for the iterations looping coding. Any help here?

THanks in advance. I will be more than thankful to receive any reply from you.

Jas
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top