Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Will DC remove un-used logic when synthesis

Status
Not open for further replies.

yx.yang

Full Member level 4
Joined
May 29, 2008
Messages
236
Helped
49
Reputation
98
Reaction score
46
Trophy points
1,308
Location
ZhuHai, GuangDong, China
Activity points
2,661
Hi, All:

I have a question about whether Design Compiler will remove unused logic as FPGA synthesis tool, such as XST, synplify.
For example: 1): a FF will no load; 2): define a reg with 32 bits, but just 16 bits are use. 3): Output single is not used.

Thanks.
 

Hi,

I think it will remove unused logic. But there should be at least a warning in the log-file.

Kind regards
hqqh
 

Unused combinational logic will be removed automatically. There is a switch that will remove unused sequential logic. Depending on your tool version, the switch may be on or off be default.
 

right, there is options to remove unloaded sequential logic. set compile_delete_unloaded_sequential_cells true
 

it will remove the unused logic, for i used the formality compare the rtl and netlist
,i find ,the implement have lesser logic,the rest have been optimized!
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top