Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

vhdl code for variable frequecy pulse

Status
Not open for further replies.

malakarmakar

Newbie level 1
Joined
Sep 18, 2008
Messages
1
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
1,286
hi

plzz can anyone tell me hw to write d vhdl code for pulse generator having variable frequecy like 50hz 150 hz.....i need to dump dis code in fpga
 

Try to find frequency divider codes.
It's ok for your purpose.. You need to divide your system clock for desired frequency.
They are pretty simple and can be loaded into FPGA easily..

Each HDL book has a frequency divider example...

Good luck...
Ilgaz
 

Maybe getting accurate frequency value for any range with 50% duty cycle is a bit harder....If you have got System Generator tool, try using DDS block...It directly generates the specified frequency and has support for changing the freq to any value with any level of accuracy...
 

Status
Not open for further replies.

Similar threads

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top