Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Logic Circuit Design Project help, urgently please

Status
Not open for further replies.

siemprepeligroso

Newbie level 6
Joined
Aug 4, 2009
Messages
11
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
1,368
I just started to work on my Logic Circuit Design semester project, I decided to work on a default traffic light system...
It has to be a sequential circuit i guess...
I worked on this scenario: the total number of cycles is 8, from which 3 are RED, 2 are YELLOW and left 3 cycles are GREEN, but this is not the real o rder the real order is: R,R,R,Y,G,G,G,Y....so we have 3 red 1 yellow 3 green and the last yellow, then the cycles start from the beginning....
I finished the project on paper, but when it comes to the software (MULTISIM) to try if it works I have some problem, this is where I am stuck...

I have used 3 D-flipflops and in the and I got this equations from Karnaugh Maps:

D1: [(!A and !B) or (A and B and C)]
D2: (B and !C)
D3: [(A and !B) or (!A and B and C)]

I hope I am clear enough so you can help me, if you have any questions and suggestion pls write as soon as possible because I am running out of my time, otherwise tell me where can I find finished projects about traffic light, but they have to be simple because in the end I have also to implement them in practice with real devices.
Thanks in advance.
 

If I understand correctly about your requirement, it can easily be accomplished by using a CD4017 ripple counter, NE555 timer IC and and array of diodes.
The only drawback in my design is that there are no breaks between the R,R,R and G,G,G so it lamp is on for one continuous long duration.
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top