Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

a question for Encounter RTL Compilier

Status
Not open for further replies.

relax1

Newbie level 4
Joined
Nov 25, 2009
Messages
7
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
1,337
Hi,

I have a question.

I try to find VHDL codes,then put in my Encounter RTL
Compilier design to do synthesis,but the terminal shows me some error messages,
as following as:

rc:/> Setting attribute of root '/': 'lib_search_path' = /opt/cadence/local/osu_stdcells/lib/tsmc018/signalstorm
Freeing libraries in memory (osu018_stdcells.lib)

Setting attribute of root '/': 'library' = osu018_stdcells.lib
library ieee;
|
Error : Parsing error. [VLOGPT-1] [_read_hdl]
: in file 'alu_rtl.vhd' on line 2, column 7.
Failed on _read_hdl alu_rtl.vhd


In my file direction,I have the library of osu018_stdcells.lib,then I ran the Verilog source codes that they were successful to systhesis the circuits,then I followed to modify the rtl.tcl file to be running the source codes of .vhdl,but it showed me the wrong messages above. Is it not support .vhdl source code?Or I need to type some commands to support it? Why does not the library support the ieee of VHDL?
Would you please tell me how to resolve it?Thank you!
 

Status
Not open for further replies.

Similar threads

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top