Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

how to deal with the problem of multiple clock domain?

Status
Not open for further replies.

u571

Newbie level 1
Joined
Mar 14, 2004
Messages
0
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,280
Activity points
18
who can tell me,
how to deal with the problem of multiple clock domain?
 

Crossing Clock Domains is a real design challenge...
It actually depends on your situation...

Typically Asynchronous FIFOs , Handshaking mechanisms, Various Syncrhoniser Crircuits etc are used for CLOCK Domain Crossover..

You can find many Xilinx Papers on this topic.
Also Search Archives of ASICDESIGN@yahoogroups.com
 

you can use fifo and ram to be the interface of the data transferred in different clock domains.also ,handshaking is another way to solve the problem.attention: you must pay more attention to the judgement of write and read enable,comparison between write and read pointer must be made in differnt alock domains.
 

Hi,
sometime back I uploaded a paper "Fourteen ways to fool your synchronizer". It deals with various technqiues that deal with the asynchronous signals crossing clock-domains.You will find it even in GOOGLE. check it out...

Thanks.
regards,
- satya
 

the snug paper about the description and synthesis of asynchronous circuits.
 

This paper may be of some help to you.
 

Hi,
There are various ways by which you can avoid any kind of disasterous results in your hardware due to multiple clock domain. Few way to address such design are
1) We can have a buffer or fifo inbetween these 2 clock domains. So incase if on one clock read happens the there clock write can take place. But the depth of the fifo is an issue and need to be carefully planned.
(You can use an elastic fifo for that matter)
2) The next method can be use of handshaking. Like in one clock domain you ask for write request, the other clock domain gives inreturn grant then write occurs...similarly read can also take place.
3) The next method makes use of Gray code logic.

I think you can visit this site www.deeps.org

http://www.deeps.org/tidbits/crossclk.html


Cheers,
Gold_kiss
 

Synchronizaiton is for control signal and data path signals
for controlsignla u can use the methods like double synchronizer etc
while for data synchronozation use fifos
 

There are some very nice articles on this topic which you can find at synopsys' SolvNet.
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top