Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

viterbi decoder in vhdl code

Status
Not open for further replies.

setareh_mehr85

Newbie level 5
Joined
Jun 29, 2009
Messages
8
Helped
1
Reputation
2
Reaction score
1
Trophy points
1,283
Activity points
1,341
vhdl decoder

could any one help to write my code in vhdl for viterbi decoder 1/2 rate with constraint length 3 I did some coding for a start but I'm not sure is it correct or not

LIBRARY ieee;
USE ieee.std_logic_1164.all;
USE ieee.std_logic_arith.all;
USE ieee.std_logic_unsigned.all;

entity generatorcode is

port(
clk : in std_logic;
reset : out std_logic;
encoder : in std_logic;
data : in std_logic
);


end generatorcode;
 

Status
Not open for further replies.

Similar threads

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top