Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

2's complement in Verilog

Status
Not open for further replies.

haxaan

Newbie level 2
Joined
Jun 30, 2009
Messages
2
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
1,294
verilog 2s complement

Can you please code the following in verilog:

A low level module in my project has an input bus of 11-bit and an out bus of 22-bit. Firstly, I need to get the 2's complement of the input and then multiply it with the original input.

thx
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top