Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Dividing clock frequency by unusual fraction

Status
Not open for further replies.

hsanghera

Newbie level 3
Joined
Jun 23, 2009
Messages
4
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
1,307
pll multiplication fractions

Hi guys.

I was wondering if someone could help me with frequency dividers. Basically what I have is this clock signal at 3570 Hz and want to divide it by 200/30 (6.66667). I've been searching around but haven't found something straight forward and easy which will work with that fraction. I was thinking maybe finding something that would multiply the frequency by 15 then divide by 100 or something.\]

Added after 48 minutes:

i can do the division simply enough by using a decade counter but am still stumped about multiplying by 15.
 

how to divide clock freq

If you want the exact fractional division, you need a PLL to multiply frequency. Otherwise you'll can get average fractional division by alternating the division ratio between 6 and 7.
 

dividing clock

Ya, a PLL is probably what I need. I'm trying to find one which has an input frequency this low (~ 3.5 kHz) and also can produce a frequency 15x the input. The ones I've found only do binary multiplication and have minimum input frequencies in the Mhz.

Does anyone know of an IC which can do this?
 

how do we divide clock by fraction

It can work e.g. with a CD4046 or 74HC4046 and external counters, that provide the respective factors. If programmable
logic is involved with your design, you can place anything except the analog PLL in the logic device.
 

Status
Not open for further replies.

Similar threads

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top