Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

DC compiler error in the analyze log file

Status
Not open for further replies.

research235

Full Member level 6
Joined
Mar 15, 2006
Messages
331
Helped
24
Reputation
48
Reaction score
6
Trophy points
1,298
Activity points
3,100
DC compiler error

Dear all

I have some very basic error using design compiler. In the top level VHDL code library is defined. some thing like this
library ieee;

use IEEE.std_logic_1164.all;
use ieee.numeric_std.all;

library XXX;
use xxx.xxx.all;

I am trying to synthesis the Top level and using the following command include the library

define_design_lib "xxx"" -path ~/xxx

I have this error in the analyze log file.

[Error] xxx is not a primary unit of library xxx

any help is greatlt appreciated

best regard

Sig
 

Re: DC compiler error

hi,
This is the problem with work libraries. check the use-clause in your RTL. The libraries included using USE clause in a design should be analyzed before analyzing the design.

make sure parameters file and utilities files are analyzed in order.
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top