Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Issue with fsdbDumpvars in ncsim (version IUS82-s003)

Status
Not open for further replies.

Anith

Newbie level 1
Joined
Mar 16, 2009
Messages
1
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
1,309
fsdbdumpvars

Hi,
I have a file which says
$fsdbDumpFile("verilog.fsdb");
$fsdbDumpvars(0,sys);
inside an initial block.

This used to compile and run fine when I was using version 4.42.3 of ncsim (which is an ancient version). Of late, I upgraded to version "IUS82-s003" of ncsim and all of a sudden my simulations start breaking. The error obtained is given below. Has anyone got a clue of the problem?
( I tried giving $fsdbDumpvars; $fsdbDumpvars(); $fsdbDumpvars(0);, but all of them showed the same problem)


*Novas* Create FSDB file 'verilog.fsdb'
ERROR: VPI NOVALOB
Object of type vpiRegArray does not have a value.
/proj/tb/sys.v, 275: $fsdbDumpvars
ncsim: *F,INTERR: INTERNAL ERROR
Observed simulation time : 0 FS + 0
-----------------------------------------------------------------
The tool has encountered an unexpected condition and must exit.
Contact Cadence Design Systems customer support about this
problem and provide enough information to help us reproduce it,
including the logfile that contains this error message.
TOOL: ncsim 08.20-s003
HOSTNAME: lc-sj1-2272
OPERATING SYSTEM: Linux 2.6.9-67.ELsmp #1 SMP Wed Nov 7 13:56:44 EST 2007 x86_64
MESSAGE: sv_seghandler - trapno -1
-----------------------------------------------------------------
System task: $fsdbDumpvars
file: /proj/tb/smb_sys.v
line: 275
***Current stack trace:
-->[User Code ] 0x57fa1d30 ffw_CreateABBusValueByIdcode + 194 /tools/novas/6.1v1p2/share/PLI/ius5.4_vhpi/LINUX/boot/libnovas.so
-->[User Code ] 0x57f7e888 pli_ffw_CreateABBusValueByIdcode + 24 /tools/novas/6.1v1p2/share/PLI/ius5.4_vhpi/LINUX/boot/libnovas.so
-->[User Code ] 0x57f67b52 <don't know> /tools/novas/6.1v1p2/share/PLI/ius5.4_vhpi/LINUX/boot/libnovas.so
-->[User Code ] 0x57f67e77 TraverseEveryVar + 1ab /tools/novas/6.1v1p2/share/PLI/ius5.4_vhpi/LINUX/boot/libnovas.so
-->[User Code ] 0x57f60d2c TraverseAllVars + 9c /tools/novas/6.1v1p2/share/PLI/ius5.4_vhpi/LINUX/boot/libnovas.so
-->[User Code ] 0x57f4b35f vhpiparsePartialCont2 + 477 /tools/novas/6.1v1p2/share/PLI/ius5.4_vhpi/LINUX/boot/libnovas.so
-->[User Code ] 0x57f4b4ee vhpiparsePartialCont + 156 /tools/novas/6.1v1p2/share/PLI/ius5.4_vhpi/LINUX/boot/libnovas.so
-->[User Code ] 0x57f257de plitaskDumpvarsVHPI + 362 /tools/novas/6.1v1p2/share/PLI/ius5.4_vhpi/LINUX/boot/debpli.so
-->[TF CB Support ] 0x8160f5a <don't know>
-->[VPI Overhead ] 0x81ad23a <don't know>
-->[VPI Overhead ] 0x81ad351 <don't know>
***Verilog source where error occurs:
$fsdbDumpvars(...) (PLI calltf)
Module: sys
Instance: sys
File: /proj/tb/sys.v
Line: 275

--->>> bcsim 2086 Error: simulation failed


Thanks,
Anith.
 

ius82

Switch to newer version debussy or Verdi may help. Check - loadpli1 option
 

$fsdbdumpvars

try to point to LD_LIBRARY_PATH to ius8.1 ..... which will available in verdi directory

Added after 1 minutes:

your using debussy very old version ...... not even verdi ........
the verdi 2009.01 version contains ius8.1 .......
 

fsdb ius8

I also faced similar issues with ModelSim for dumping FSDB. Upgraded to the Verdi 2009.01 and corresponding novas.so will give you the solution. For me the buses were not dumping, but all the single bit signals were dumping on FSDB. FSDB Dumper version 2008.04 has serious bug in it.

Try this

-Paul
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top