Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

pll veriloga simulation of pss,pnoise

Status
Not open for further replies.

shanmei

Advanced Member level 1
Joined
Jul 26, 2006
Messages
430
Helped
8
Reputation
16
Reaction score
8
Trophy points
1,298
Location
USA
Activity points
4,496
site:www.edaboard.com pll veriloga

Hi ,

When I simulate pss,pnoise of pll(VCO ,PFD ,Divider are written with veriloga), some error comes:

Error found by spectre during periodic steady state analysis `pss'.
Distributed components and components with hidden state are not allowed
with this analysis -- analysis skipped.

CP

/home/pll/veriloga/CP/veriloga/veriloga.va, line 25:
Hidden state variable: state

Divider

/home/pll/veriloga/Divider/veriloga/veriloga.va, line
19: Hidden state variable: cnt
/home/pll/veriloga/Divider/veriloga/veriloga.va, line
21: Hidden state variable: cnt
/home/pll/veriloga/Divider/veriloga/veriloga.va, line
22: Hidden state variable: cnt
/home/pll/veriloga/Divider/veriloga/veriloga.va, line
23: Hidden state variable: cnt

Analysis `pss' terminated prematurely due to error.


So, it seems that some variable in veriloga cannot be simulated in PSS. Anyone can solve this problem?
 

pll veriloga simulation

I guess you have to define these variables somewhere, may be (additionally?) in the ADE setUp.
 

Re: pll veriloga simulation

erikl said:
I guess you have to define these variables somewhere, may be (additionally?) in the ADE setUp.



Just set some value in the ADE setup???
 

pll veriloga simulation

I think so. Reasonable values, of course. Just try it!
 

    shanmei

    Points: 2
    Helpful Answer Positive Rating
i heard, you must disable SOAC from utilities but i havent tried.
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top