Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

How to find unconstrained paths in a design?

Status
Not open for further replies.

designer_ec

Member level 4
Joined
Mar 31, 2007
Messages
68
Helped
1
Reputation
2
Reaction score
0
Trophy points
1,286
Activity points
1,752
How to find unconstrained paths in design.I mean what are the reasons for unconstraing paths other than false path or multicycle path.
 

report false paths primetime

no input_delay, output_delay defined for input, output ports respectively .... :!:
 

report unconstrained path primetime

for the input/output ports, you should check the input delay/output delay.
for FFs, you should do following steps:

1. check if there is a clock for the unconstrained FF
2. check exceptions, like false path.
3. check that whether the timing arc is disabled or not by constant setting or something else
report_disable_timing
 

unconstrained paths in primetime

HI,

Also check for the generated clocks in ur design. It may cause the unconstraind paths. You need to specify proper clock definition for it.

It may help you.

Thanks..

HAK..
 

report_analysis_coverage constant

please use report_analysis_coverage to report the reason!
 

primetime report_timing exceptions

Most timing tools will have some report that tells you if path endpoints are unconstrained. For example, the Primetime "check_timing" command can report this. Magma and Cadence tools also have similar functionality.

What EDA tools are you using to check the timing?

Best Regards,
-- J.
 

primetime unconstrained

For unconstrained paths you can check with prime time tool (specifically version later than 2005.12)

report_timing -exceptions

Prints user-entered timing exceptions, namely false paths, multi-cyle paths, and min/max delays, that are satisfied per timing path being reported. Also the reason of an unconstrained timing path is printed. The -exceptions options requires one and only one of the following three values: dominant, overridden, and all. Please note that the additional analysis required per path with - exceptions is non-trivial. Therefore, a report_timing with -exceptions is expected to execute slower than the exact same command without the -exceptions option. -exceptions does not work with -path_type short/end/summary option.
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top