Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

VHDL code for serial in serial out

Status
Not open for further replies.

j hemangini

Member level 1
Joined
Jul 21, 2008
Messages
35
Helped
1
Reputation
2
Reaction score
1
Trophy points
1,288
Activity points
1,573
serial in serial out vhdl code

I am using spartan-3a starter kit. I want to write VHDL code for serial in serial out . If someone have code for this then please post it.
Thank you.
 

serial in / serial out in vhdl

library ieee;
use ieee.std_logic_1164.all;

entity SISO is
port (clk : in std_logic;
rst : in std_logic;
s_in : in std_logic;
s_out : out std_logic);
end SISO;

architecture s1 of SISO is
begin
process(clk,rst,sin)
begin
if(rst='0') then
sout <= 1'b0;
elsif(clk='1' and clk'event) then
sout <= sin;
end if;
end process;
end s1;
 

verilog tutorial, serial out

Hi,
Can you clearify your need? what kind of process you want to be done on input data? do you really want to just give serial data from one hand and put it outside with another hand? both input and outout are serial?
 

vhdl coding spartan 3a

Actually I want to communicate my spartan-3a starter kit with hyperterminal and want to display on LCD ,what i write on hyperterminal. I got a vhdl code on website www.OPENCORES.org . But now i am unable to find all the pinouts defined in that code. I know only pinouts for RxD & TxD. If someone can help me then please post your views.
Thank you.
 

Status
Not open for further replies.

Similar threads

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top