Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Please tel me how to debug following synthesis error Verilog

Status
Not open for further replies.

victoria_jitesh

Member level 2
Joined
Nov 27, 2006
Messages
45
Helped
3
Reputation
6
Reaction score
2
Trophy points
1,288
Activity points
1,673
synthesizable verilog debugging

ERROR:Xst:528 - Multi-source in Unit <defer_count> on signal <txc>
ERROR:Xst:528 - Multi-source in Unit <defer_count> on signal <x_busy>

Please tell me what changes should i do in my code(RTL simulation logically OK) so that code becomes synthesizable.
 

Your code has multiple outputs connected together. Probably two different statements simultaneously driving the same signal.

If you need help locating the problem, show the HDL code.
 

Seems that you're moving a signal from 2 different source.
For example you've 2 process that move txc and x_busy.
Example counter.

p1:process(clk)
begin
if clk'event and clk ='1' then
.if a = '1' then
..cnt <= cnt +1;
.end if;
end if;
end process;

p2:process(cnt)
begin
if cnt = 255 then
.cnt <= 0;
end if;

that example has 2 important error. first is the ine you've in your project and the second one is that the rst on cnt is asyncronous.

You've to correct it in this way.
process (clk)
if clk'event and clk = '1' then
.if cnt = 255 then
..if a = '1' then
...cnt <= x"01";
..else
...cnt <= x"00";
..end if;
.else
..if a = '1' then
...cnt <= cnt + 1;
..end if;
.end if;
end if;
end process;

Hope that it's clear.
cu

PS. remove the "." I've put it only to make retain a bit of formattation (here on the web it's removed all the space, I don't know why)
 

Re: Please tel me how to debug following synthesis error Ver

Thanks to both (echo47 and mmarco76
)
,your advice worked.
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top