Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

CVS - Adding directory recursively

Status
Not open for further replies.

V

Member level 3
Joined
Jan 20, 2005
Messages
67
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,286
Activity points
500
cvs add directory recursive

Hi All,

I have query on CVS -

I have to add directories to repository using CVS.

e.g - say 1. adc_n_ch/rtl/adc_10_ch.vhd
2. adc_n_ch/simul/file1
3. adc_n_ch/simul/file2
4. adc_n_ch/result/files* .......just example...

My question -
So how do i check it in all these directories in one go (i.e. in some recursive way)

I can check them in one by one, by it'll take big time, so is there any method/ or any idea?

What i can think of -
use script for that.....thts the option...But do you know anything???

Please suggest..

Thanks. :)
 

cvs add directory recursively

V said:
Hi All,

I have query on CVS -

I have to add directories to repository using CVS.

e.g - say 1. adc_n_ch/rtl/adc_10_ch.vhd
2. adc_n_ch/simul/file1
3. adc_n_ch/simul/file2
4. adc_n_ch/result/files* .......just example...

My question -
So how do i check it in all these directories in one go (i.e. in some recursive way)

I can check them in one by one, by it'll take big time, so is there any method/ or any idea?

What i can think of -
use script for that.....thts the option...But do you know anything???

Please suggest..

Thanks. :)


In the directory, type following commands,

find . -type d | xargs cvs add
then type,
find . -type f | xargs cvs add

cvs commit -m "any message" *

And tag the directory,

cvs tag tag_name *


Let me know if you face further problems...
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top