Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

lcd Programing on FPGA

Status
Not open for further replies.

abhi_459

Member level 3
Joined
Jan 22, 2006
Messages
57
Helped
3
Reputation
6
Reaction score
3
Trophy points
1,288
Location
chd india
Activity points
1,644
fpga lcd

hi..
i have to implement my VHDL codes on FPGA. And i want to use the LCD available on the kit. i want to know whether the LCD is already programmed for the input and output pins or i should program it. if yes,Can anybody tell that how can it be programmed for the display?
 

microblaze spartan 3e lcd hello world

SPARTAN-3E KIT
 

lcd +programing

The LCD is connected to several pins on the FPGA. (See chapter "Character LCD Screen" in your "Spartan-3E Starter Kit Board User Guide".) You need to constrain those pin numbers in your FPGA project, such as by using a UCF file, or HDL attributes, or the Constraints Editor.

For example, a few months ago I wrote a small crude Verilog module that displays "Hello World!" on the LCD of the Xilinx Spartan-3E Starter Kit. It uses HDL attributes to set the pin locations. My code is near the bottom of this very long discussion:
#852813
 

Status
Not open for further replies.

Similar threads

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top