Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Need VHDL code for binary to decimal conversion

Status
Not open for further replies.

ameed

Advanced Member level 4
Joined
Jun 28, 2007
Messages
106
Helped
6
Reputation
12
Reaction score
1
Trophy points
1,298
Location
INDIA
Activity points
1,931
hi can anybody give me vhdl code for binary to decimal

or binary to RR-4 no system
 

Re: vhdl file

ameed said:
hi can anybody give me vhdl code for binary to decimal

or binary to RR-4 no system

if you want your decimal only in integer type, then there is a builtin function

conv_interger();
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top