Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Analog to digital converter - further info (pls help)

Status
Not open for further replies.

simonmada

Newbie level 6
Joined
Jul 2, 2007
Messages
13
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
1,364
Hi everyone,

I'm undertaking a project involving Analog to Digital Converter (ADC). From what i know, one way to implement this ADC in Verilog is by using a DAC and comparator. Basically this method is based on the Successive Approximation. The problem with this design is that it is slow as it requires many comparisons. Is there any ADC with parallel(flash) comparisons where we can speed up the design?

The application note is Xilinx app155. What I want to know is that is there any other methods to implement the ADC in Verilog? Any further info on the different types, block diagrams, and how they work for ADCs are very much appreciated.

Thank you in advance.
 

Analog to digital converter - further info

you means to implement a sigma-delta ADC, but can you tell me how this ADC receive analog input ?
and maybe verilog_AMS is anohter option.

BR.
ls000rhb
 

Re: Analog to digital converter - further info

The analog input will be the input of the comparator. Therefore the comparator would have two inputs. One is the analog input, the other would be the output from DAC.
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top