Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Generate 27 MHz clock from a 40 MHz input clock on a FPGA

Status
Not open for further replies.

hcet

Full Member level 5
Joined
Mar 9, 2002
Messages
313
Helped
21
Reputation
28
Reaction score
6
Trophy points
1,298
Activity points
2,094
Hello everybody,
I would like to generate 27 MHz clock from a 40 MHz input clock on a FPGA and have no idea if this is possible. The FPGA is a Spartan II XC2S100 from Xilinx.

Any help is appreciated.

ep20k
 

Re: Generate 27 MHz clock from a 40 MHz input clock on a FPG

You can infer CLKDLL in Xilinx FPGA devices. CLKDV_DIVIDE is in integer value. You'll probably need a combination of CLKDLLs to create 27MHz. Therefore, it might be advisable to closer frequency. (40MHz/1.5 = 26.7MHz)

The following is the example from Xilinx manual. Hope that this helps.

CLKDLL CLKDLL_instance_name (.CLK0 (user_CLK0),
.CLK180 (user_CLK180),
.CLK270 (user_CLK270),
.CLK2X (user_CLK2X),
.CLK90 (user_CLK90),
.CLKDV (user_CLKDV),
.LOCKED (user_LOCKED),
.CLKFB (user_CLKFB),
.CLKIN (user_CLKIN),
.RST (user_RST));
defparam CLKDLL_instance_name.CLKDV_DIVIDE = integer_value;
//(1.5,2,2.5,3,4,5,8,16)
defparam CLKDLL_instance_name.DUTY_CYCLE_CORRECTION = boolean_value;//
(TRUE, FALSE)
defparam CLKDLL_instance_name.STARTUP_WAIT = boolean_value; // (TRUE,
FALSE)
 

Re: Generate 27 MHz clock from a 40 MHz input clock on a FPG

zzzyin said:
40MHz/1.5 = 26.7MHz)

Hi zzzyin,
I also thought about that, but I would like to have 27MHz :|
But thanks anyway.

Maybe somebody else has an idea?

ep20k
 

Re: Generate 27 MHz clock from a 40 MHz input clock on a FPG

To use PLL macro in FPGA
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top