Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

VHDL code for dual priority encoder

Status
Not open for further replies.

mdhvmdhv

Newbie level 2
Joined
Jan 14, 2007
Messages
2
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
1,291
vhdl code for priority encoder

Hello

Can anyone help me out please .I need a VHDL code for DUAL PRIORITY

ENCODER using if-else-if statements .

I've been trying hard to construct this code but am unsuccesssfull .

please help me out
 

dual priority encoder

i don't understand. Can you explain it?
 

priority encoder vhdl

I just need a VHDL code for a dual priority encoder .

I think i'm clear this time
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top