Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

How to simulate a bidirectional port in VHDL?

Status
Not open for further replies.

alexz

Full Member level 5
Joined
Nov 19, 2004
Messages
283
Helped
6
Reputation
12
Reaction score
3
Trophy points
1,298
Location
UK
Activity points
2,246
How can I simulate a bidir (inout) bus in VHDL?
It does not seam to be working when I simulate it normal way.
 

Re: Bidirectional port

What exactly is not working? You must tristate the signal when you want to read it (should work as output) by applying a 'Z' and apply what you want when is supposed to be an input. Of course, you probably have a signal to control the direction (in or out).
 

Bidirectional port

in your test bench file should be something like that


driver_signal <= value when < condition > else (others => 'Z');
 

Re: Bidirectional port

Iouri said:
in your test bench file should be something like that


driver_signal <= value when < condition > else (others => 'Z');

That is just a tristate buffer isn't it?
I am using this for the OUT direction. And just reading from the bus directly for the IN direction
 

Bidirectional port

yes this is tri-state buffer
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top