Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Question about delay time in FPGA

Status
Not open for further replies.

Max++

Junior Member level 3
Joined
May 24, 2006
Messages
29
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Location
Thailand
Activity points
1,495
from code below

Library ieee;
Use ieee.Std_Logic_1164.All;
Entity lighting is
Port(datain:in Std_logic;
dataout:eek:ut Std_Logic
);
End lighting;

Architecture RTL of lighting is
begin
process(datain)
begin
dataout <= datain;
end process;
end RTL;

The Simulate result show that dataout will delay from datain around 15 ns.
(Use MAX Plus ||)Is this the normally result of FPGA
and
Max Plus || have some option for ignore this delay because it difficult to look.
 

Delay time

Of course on the FPGA there will be some delay but It must not be 15ns every time as it depends on the FPGA you are using.
 

Re: Delay time

Hi,

instead of
dataout <= datain;

if u use,

dataout <= transport datain;

you don't see that delay in the output.

Regards
vs21
 

Re: Delay time

What does "transport " mean?
 

Status
Not open for further replies.

Similar threads

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top