Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

why post layout and timing simulation fail?????????????????

Status
Not open for further replies.

gauz

Junior Member level 3
Joined
Jul 18, 2005
Messages
26
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
1,537
Hi,
I have a design, the rtl simulation is ok, and then
1, after synthesis(ise62), the gate(translate) simulation output data is ok, while
there are violations reported when runing simulation. I think it's reasonable
2, after map, the ise report hold time violation. when run simulation, the output
result is unknow(x). then I specify "+no_notifier" ,the result is ok. it's
reasonable too.
3, after p&r, the STA reports no timing violation, but when run simulation, still
report timing violation in "X_FF", and the result is still unknow(X), what's the
matter? I think there shouldn't be any violation any more with STA timing fixed.
could anyone help???
Thanks a million!
gauz
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top