Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

maintaining more modules in verilog

Status
Not open for further replies.

samuel_raja_77

Junior Member level 2
Joined
Apr 8, 2006
Messages
20
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
1,458
1.i need to enable module2 from module1 and.....after finishing some operation i need to disable the module2 from processing .....and continue the manipulation in module1
2.i tried like giving an enable signal [en_1 o/p from module1] from module1 to module2 such that if that enable signal is high the module2 will be processing .....after finishing stipulated process another enable signal [en_2 o/p from module2] will be made high stating the process is over.
3.so when en_2 is high which is input to module1 the en_1 will be made low..thus enabling the module1
4.so if i need to again enable the module2 i need to make the en_2 to be low in the second module ........
5.Is the propsed method right to use in verilog can some one guide me
 

Overall idea sounds OK. Remember to add assertions in your code to make sure that the protocol that you are defining for en_1 and en_2 are always met.

Depending on tools you use, you can use PSL or SVA for the same. Think about verification right when you start designing and finish faster!

Regards
Ajeetha, CVC
www.noveldv.com
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top