Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

the question for the xilinx analyze

Status
Not open for further replies.

shenghuo

Newbie level 4
Joined
Jul 18, 2006
Messages
7
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
1,321
the error information as followed:

logical net 'a_d' has multiple driver(s).

I'd like to ask how can i solve it? thanks for ur reply.
 

You have somethig like this in your program:
Code:
.............
a_d <= signal1;
............
............
a_d <= signal2;
............
............
There are multiple assigments (drivers) to the same signal "a_d". You need to recode your program source.
bis
 

the error is inside port map.
such as a=>b in instant1,
c=>b in instant2,
because i want to connect a to signal b,b also to c.
but how to correct them?
 

Use MUX can solve your problem
 

I'm very grateful to get all ur helps.It is very encouraged when get a help in design difficulties.
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top