Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Read - Write a file from physical disk by VHDL

Status
Not open for further replies.

kangta

Junior Member level 2
Joined
Apr 4, 2006
Messages
20
Helped
1
Reputation
2
Reaction score
0
Trophy points
1,281
Activity points
1,503
Plz ! help me ....
I got a problem that makes me confusing . I must write a program that can read and write data from a physical disk by VHDL and simulate by Max+Plus II . But I can't write by Max+Plus II . It 's error ..... So , How can I write ..................
Can you show me more e_books write about that .....
 

Check out the textio library.
 

    kangta

    Points: 2
    Helpful Answer Positive Rating
for this the entity should be considered as the basis of textio package
 

thank for ur helpings .......... I will try......
 

include ieee.textio.all


use file is in "file name"

read()
readlin("l");

use file is out "filename"

Write();
writeline();

check vhdl baskar book for further references.




REgards
Shankar
Tallika
 
Oh thank for all ur help .....
but a new problem occurs that MaxPlus doesn't support for access type that the most important tool for access line string so.........
 

Are you trying to get the FPGA to interface to the disk or just with the simulator?

You cannot synthesize any textio functions.

Cheers,

Blowfishie
 

Oh.....
I do this job just to simulate for acknowledge >..... but with altera I can't do any simulation because they don't support acess type so we can't use the pointers.....
.....
 

Hi kangta

Check the MaxPlusII help :
File I/O cannot be synthesized; therefore, calls to TEXTIO functions are ignored.
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top