Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

DRC problem in Cadence: failed to build VDB

Status
Not open for further replies.

payazal

Newbie level 1
Joined
Jul 31, 2005
Messages
1
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
1,296
drc problem in cadence

hello everyone,
i want to find a help for my problem, i design a logic gate using
cadence and when i made DRC using assura , i get message "failed to
build VDB, cannot summit DRC run" , i hope anybody can help me to solve
this problem, thanks
 

Re: drc problem in cadence

check your technology directory is at right location as mentioned in assura drc run form.
 

drc problem in cadence

it is possible that you havenot started the license. and you check the file of .bashrc
 

drc problem in cadence

i think the problem is when ever u run drc it will create a set of result files.

here the problem is u do not have permission to writre in to the disk where u invoked icfb.

so plz change the permission of the folder where u invoked icfb by chmod.

if u r not clear plz let me know
 

Re: drc problem in cadence

Check if any schematic instaces are present in layout. this is apart from the above.
 

Re: drc problem in cadence

hello,

it can may be a disk cota, check it ! or u have not a write permission change it with chmod commande from ur shell :
g@fsos
 

Re: drc problem in cadence

the rules mentioned for layout is a technology file or a directory?????

then whats the extension of technology file???
 

Re: drc problem in cadence

Look Assura Log file or message in CIW
 

Re: drc problem in cadence

Very "General" description of a Cadence problem.

Provide: Cadence version, Physical Verification tool version, Paste the .log file. Also CIW outputs. That would help..

Srivats
 

Re: drc problem in cadence

i m having error while i m running DRC.. error is can not build VDB file,failed DRC run... plz help me...plzzzzzzzzzz
 

Re: drc problem in cadence

#1:
Remove the .drc.Last.State file. If it is lvs, .lvs... or rcx is .rcx... and qrc is .qrc...

#2:
Sometimes, the variables are defined in the condition statement but never predefined in assignment statement.
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top