Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

math division operation in vhdl

Status
Not open for further replies.

gnomix

Member level 4
Joined
Jun 14, 2001
Messages
75
Helped
1
Reputation
2
Reaction score
1
Trophy points
1,288
Location
italy
Activity points
649
vhdl math

Hi to all,
how I can build in VHDL an integer divider with both quotient
and remainder outputs?
I would like to divide the dividend by the divisor to produce the quotient and remainder.

Regards
gnomix
 

vhdl division operator

I've posted a code example as attachment
 
division operator vhdl

rol73 said:
I've posted a code example as attachment
thx rol73 for your assistance,
I have download your code but with the operator "/" the divisor must be a constant integer power of 2 and it isn't a valid solution to my problem.
I don't know if some syntesys tools are able to implement this construct if yes could you please tell me about this?

Thanks
Gnomix
 

division operator in vhdl

For synthesis, you should implement your divider by multiple substraction loops.
You can find a related example (with code and testbench) at this url:

<http://www.kip.uni-heidelberg.de/ti/TRD/alu/arraydiv/>

If you are working in a Synopsys environment. you can use their DesignWare library component DW_div; you can find the datasheet on Internet (only datasheet, not code!) browsing the Synopsys site, starting from this url:

<http://www.synopsys.com/products/designware/docs/doc/dwf/datasheets/>
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top