Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

how to realize a SPI interface with VHDL?

Status
Not open for further replies.

ymq8328

Member level 3
Joined
May 26, 2005
Messages
57
Helped
1
Reputation
2
Reaction score
1
Trophy points
1,288
Activity points
1,847
spi vhdl

how to realize a SPI interface with VHDL?
I find it is too difficult for a newbie. need your help .
 

spi slave vhdl

but it is realize in verilog, not in vhdl
 
spi interface vhdl

There Are Lots Of SPI Deratives. The Best Thing To Do, Is To Examine The Datasheets Of The Device You Are Interfacing, For The Required SPI Format.

VHDL Is Not C, So You Should Always Write Your Own Code.

SPI Is Very Simple - There Is Master And Slaves, So No Arbitration Is Needed,
Also There Is One Receive And One Transmit Data Signal.

The Clock Can Be Free Running, So You Can Generate It Quite Easily.
With Counter.

The Data Will Be Shift Out When Writing, And In When Reading.

You Should Use 2 Registers 1 For Write 1 For Read, You Should Also Use
Address Register, To Access Devices With More Then 1 Internal Address.

To Select Between Deices You Need Different CS~. You Can Generate Those With Decoder.

It Will Be Most Easy To Realise The Design With A State Machine, Who Shell Do Or Control All This Tasks.
 

vhdl spi interface

Hi

SPI is very very simple serial protocol. You can write your own VHDL program and it doesn't have complexity in programming. I think it can be programmed in VHDL easily rather than Verilog.

Try to assume the hardware of protocol operation before starting coding. It can be built with simple a shifter and multiplexer/demultiplexer blocks. To send/recieve the data, you can use multiplexer/demultiplexer to select a perticular bit of data.

I don't think the ready to use IP can fit to your application. Try your own.

Regards
Vishwa
 

spi in vhdl

See the SPI spec, and there are many implementations in there.
 

vhdl spi master

Can anyone suggest a good book on SPI interface ??
 

spi verilog

You can search xilinx IP core library on the web... there are some freeware vhdl cores... inclusive SPI.
 

spi controller vhdl

at ymq8328:

you still searching a VHDL code of SPI ? If you want send me a private message, I send you my version on SPI (wrote in VHDL).

Regards
Lukee
 
  • Like
Reactions: dorra

    dorra

    Points: 2
    Helpful Answer Positive Rating
spi master vhdl

just go through SPI spec....if you need code u can have it from opencores.org
 

vhdl spi core

can sombody help mee with the VHD code to connect SPI, i whant it to pleas

thanks
 

verilog spi master

Hi,

Currently, I'm designing a simple SPI. It consist of 1-master and 1-slave. Plus, this is my first time designing SPI.

I find shawndaking reply is helpful and he is right.

I gathered a lot of information on internet.
Another thing, timing diagram is also important. Use Google you can find one.
That's how I start to understand and design SPI.

FYI, I used verilog for my SPI.

Good luck!
 

vhdl for spi

SPI3 is a simple one.
But SPI4.2 is so complexed and difficult
 

spi+vhdl

what are the difference between SPI3 and SPI4.2? im am just using FPI with 4 wire SDI, SDO SCK and AC.
 

spi interface cpld

can anyone send a spi slave vhdl code? i am using altera fpga.

Thanks,
Reddy
 

vhdl spi

Can anybody give me a very simple spi implementation in vhdl.
I found one at fpga4fun.com but it is in verilog,
something like this what i need, but in vhdl, can anybody help me with a code or with a translating.

https://www.fpga4fun.com/SPI2.html

my project:
i need a communication between Pic18f and fpga, the fpga is the slave.I need it for this. thanks in advance.
 

verilog spi

Does anybody have a simple spi interface written in vhdl.
Something like when the fpga is the slave and receiving - transmitting data to the Master. The Master is a PIC microcontroller.

What about the clocks frecvencies???? the pic clock(and the spi clock) is much slower than tha fpga's clock. But i think when the fpga is the slave this is a good version.
let me know if it's not correct.
 

Status
Not open for further replies.

Similar threads

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top