Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

What is the advantage of using open collector output stage in TTL circuits?

Status
Not open for further replies.
Re: Open Collector

The main advantage of using OC outputs is that the loads's supply voltage can be much higher than 5V; in some cases the OC transistors are rated for 30Vdc or more.
Also, TTL OC transistors can sink currents of up to 100mA ..
Regards,
IanP
 

Re: Open Collector

I think IanP is refering to an open-collector buffer/driver, for example 7406 with maximum VOH up to 30V and maximum IOL up to 40mA, thus the output load (for example an incandescent lamp or car signalling indicator used in automobile of 24V, 25mA.

VOH = Logic High or 1 Output Voltage
IOL = Logic Low or 0 Output Current (refers to sink current of the transistor when it is turned on, resulting logic low or 0)

In general, open-collector is used in the followings:

1. It can be used with a pull-up resistor Rp of about 1k to 10k for Wired-AND operation, whereby several open-collector outputs can be tied together to the same pull-up resistor Rp for driving logic 1 and 0.

However, in consideration of the load capacitance in the interconnects and transistors, Rp cannot be too large, else the switching speed is limited due to RC delay.

Rp cannot be too small because the this will increase the sink current from supply rail down through Rp and the transistor (that is turned on), thus too large current can kill the transistor.

So Rp should be carefully chosen so that IOL (max) is not exceeded and Rp is not too large that will make RC delay too long.

2. In some special applications whereby logic 1 or High is not needed, Rp is not used, thus high impedance output or logic 0 is required. This is used for example in the above incandescent lamp, which itself presents a load resistance, thus serving the purpose of Rp in the application. Thus the application does not require to add a pull-up resistor Rp.
 

Re: Open Collector

Similar to what the others have mentioned, open collector (or open-drain outputs for CMOS) are used for level-conversion and/or wired-AND. An example of the first feature is when you want to interface 5V to 3.3V circuits, or if you want to drive circuits with a high Vcc. The second application is used in shared buses, where there are multiple bus drivers.

As for the pull-up resistor used, as SkyHigh has mentioned, it should not be too small as to sink dangerous currents into the output transistor of the chip, and it should not be too large as to cause significant RC delays. For data outputs, this is manifested in the form of slew edges.
 

Re: Open Collector

Hi~~

I have one thing to mention about open collector and open drain circuits. An output circuit of a chip requires a large power consumption compared to the internal logic. Thus, when a designer does not want to consume a large amount of energy, i.e., heat, in his or her device for some reason, he or she will adopt the open collector or open drain circuit as the output circuit.
 

Re: Open Collector

I think the main reason is the amount of current the open collector can source and the output voltage that can be reached...
 

Open Collector

A very good application of wired-AND configuration is I2C bus, refer to the following document and you will understand how open collector outputs can help.

**broken link removed**
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top