Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Wish to know more about Johnson Counter...

Status
Not open for further replies.

onemilimeter

Member level 3
Joined
Sep 23, 2004
Messages
62
Helped
2
Reputation
4
Reaction score
0
Trophy points
1,286
Activity points
614
Attached schematic diagram is a Johnson Counter. Would you pls advise while "Loop 2" is considered to be INVALID?

Also, pls suggest few applications for Johnson Counter.


JohnsonCounter1.gif


JohnsonCounter2.gif

Thanks.
 

hey obtain some information here
Code:
hxxp://www.play-hookey.com/digital/johnson_counter.html
hxxp://en.wikipedia.org/wiki/Counter

and also there is some datasheet w/ application at
**broken link removed**
 

Well its not invalid in the sense that the particular sequence is not possible.
These counters are used for timing sequence generation.
So the outputs of two Flip-Flops are Anded in a particular way such that you get a timing sequence with frequency of one eight(in this case) that of the clock pulse.
In johnson counters once they get into one particular sequence they can't get out of it and get stuck in a infinite loop. So if that particular sequence is such that the reqd timing sequence is not generated from it its called invalid.
 
usernam said:
Well its not invalid in the sense that the particular sequence is not possible.
These counters are used for timing sequence generation.
So the outputs of two Flip-Flops are Anded in a particular way such that you get a timing sequence with frequency of one eight(in this case) that of the clock pulse.
In johnson counters once they get into one particular sequence they can't get out of it and get stuck in a infinite loop. So if that particular sequence is such that the reqd timing sequence is not generated from it its called invalid.
Thanks usernam. Would you pls advise which two of flip-flops that you meant in "... the outputs of two Flip-Flops are Anded..."? I could not see how the outputs "Anded"... pls advise.

For the particular circuit shown in my first post, can I say that the 'reqd timing sequence' is 1/8 of the clock pulse frequency?

"So if that particular sequence is such that the reqd timing sequence is not generated from it its called invalid."
I still don't really get your point here. Is it possible to explain, based on the example given in the figure, why Loop 2 is considered invalid? Did you mean the whole string (Q3 Q2 Q1 Q0) or pattern should be repeated 1/8 of clock frequency, or each output (e.g. Q2)? Thanks.
 

Well if you want just one timing sequence then you can go with any one of a number combinations. For example take Q0'.Q3' or say Q0.Q1'.This will give you a timing sequence with one eight clock frequency. Say you wanted two timing seqs which are shifted from each other by a time 2T where T is the clock time period then you could use Q0'.Q3' and Q0.Q2'.
Now suppose you have setup and AND gate to give an output Q0'.Q3' for a timing sequence based on Loop 1. Now suppose your johnson counter wslippe dinto loop 2 somehow then you don't get your reqd timing sequence.
 

For normal operation, all the outputs will either be set to '1', or reset to '0'. Can you pls advise in which situation that a Johnson counter will be slipped into invalid loop?
 

Some basics of the Johnson Counter:
It is a "non-constant-weighted" counter. This means that there is no numeric value that is assigned to any of the bit positions.
~
The sequence is one of many sequences that comprise the family of "cyclic counters". Cyclic counters have the property that when going from one state to the next one and ONLY one bit changes state. You will notice that the "invalid" loop does not have this property. Most practical implementations of the Johnson counter have an additional decoder to detect an illegal state, and force the counter into a legal state.
~
The Johnson counter has some interesting and useful properties:
~
. Any state can be decoded with a single 2 input "And" gate
. (assuming the the output and its complement is available
. for each stage)
~
. Any consequtive sequence of states can be decoded with a
. single 2 input "And" gate (with the above assumption).
~
. The output of the "And" gate decoder will be "glitch-free", since
. one and only one input changes state for any state transition
. of the counter.
~
The maximum number of states for a Johnson counter is 2N, vs 2^N for a binary counter.
Regards,
Kral
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top