Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

What's the difference between Verilog HDL and VHDL?

Status
Not open for further replies.

bizoo

Member level 5
Joined
Jun 22, 2005
Messages
90
Helped
1
Reputation
2
Reaction score
1
Trophy points
1,288
Activity points
2,057
wat is the diffrence between the two wats better to learn ?
 

Re: verilog hdl or vhdl?

HI,
search the forum, as i remember, we have PLENTY of discussions regarding this topic :)

also check the following


thanks
sawaak
 

verilog hdl or vhdl?

ic or epld?
suggest verilog for ic.
 

Re: verilog hdl or vhdl?

I like verilog, except for those damn missing generate statements. Yes, I know Verilog2001 has them.
But the good thing about vhdl is type safety, makes them more easily scalable.
 

Re: verilog hdl or vhdl?

Verilog is easier to understand and use. For several years it has been the language of choice for industrial applications that required both simulation and synthesis. It lacks, however, constructs needed for system level specifications. VHDL is more complex, thus difficult to learn and use. However it offers a lot more flexibility of the coding styles and is suitable for handling very complex designs

Pls see the link for complete description
Verilog vs. VHDL: VHDL & Verilog Compared & Contrasted
Plus Modeled Example Written in VHDL, Verilog and C
h**p://www.angelfire.com/in/rajesh52/verilogvhdl.html
 

Re: verilog hdl or vhdl?

verilog takes very less simulation time than the VHDL.
most of the companies uses only verilog HDL.
Verilog is easier for coding as it resemble C language.
Verilog syntax and semantics are very easier to understand
.
 

Re: verilog hdl or vhdl?

hi

Verilog is easy to start for hdl.
once u learn u can easily switch to vhdl.
 

Re: verilog hdl or vhdl?

i prefer verilog because its syntax is more similar to C++..!
 

Re: verilog hdl or vhdl?

go for verilog HDL any time if u have to choose b/w Verilog & VHDL
reason being verilog is much easier to learn , less complex and less time consuming
also if u r a beginner go for Palnitkar(for verilog Hdl)
u will find this book on betah.co.il (in Hardware subsection)
u may find it on EdaBoard but u have to search for it
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top