Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Synopsys Primetime error in event file using vcd file from modelsim

Status
Not open for further replies.

analogdesignlove

Newbie level 6
Joined
Oct 12, 2017
Messages
12
Helped
0
Reputation
0
Reaction score
0
Trophy points
1
Activity points
183
Hi,

I want to run power analysis in synopsys primetime, and I have generated the netlist and the sdc file of my design as well as the vcd file from modelsim. But when I run the PrimeTime, there’s such error:
Error: Can not find any event in the event file. (PWR-248)
In the generated power report, the power is 0.
So what does this mean?
 

It means there is an issues with the file, either it is blatantly wrong or it doesn't match the hierarchy of your design in primetime.
 

Actually I am not using .spef file to annotate parisitics and .sdf file is not read by new versions in primetime. Can I do power simulation without .spef file for parasitics in primetime?
 

If you are on a pre-placement step of the ASIC flow then you don't need the .sdf for PrimeTime. The .sdf is used to produce the .vcd file which contains info for the switching activity of the design given a set of test vectors. If written correctly that should be enough to generate a power report using PrimeTime.
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top