Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Better performance measure LUT vs FF

Status
Not open for further replies.

SharpWeapon

Member level 5
Joined
Mar 18, 2014
Messages
89
Helped
0
Reputation
0
Reaction score
0
Trophy points
6
Activity points
705
Better resource utilization measure LUT vs FF

Hello,

A basic question here. If two designs A and B get synthesized and result in a utilization report of:

A: x LUTs and y FFs
B: x/2 LUTs and 2y FFs

Which one would be a better design and why?

Cheers!
 

Assuming this is linked to your other post about synth strategies and A and B are from the same source, Pretty meaningless now, and usually very unlikely to happen as the LUT count and FF will be pretty identical from run to run.
So neither result is better than the other. LUT and FF count is mostly irrelavent now. You're usually constrained more by:
1. Clock speed
2. RAM and DSP usage
3. IO and Periferal constraints

I would only be interested in LUT/FF usage if it affected anything from above.

Also remember, that using a FF pretty much takes the neighbouring LUT out of action too (if its not using the LUT output), so you B example will look like its got 2Y LUT usage.
 
Thanks TrickyDicky.

A and B are completely different designs trying to achieve the same thing. I do understand that the clock, DSP, BRAM etc are important constraints/meterics.

Maybe paraphrasing the question: Which one A or B would fit first in a resource constrained device? Assuming A beats B in all other resources (DSP, IO, BRAM). Also any idea how you would quantify the difference in area percentage between A and B?
 

FF's and LUTs are not independent in terms of area in FPGAs. For example, each CLB already has some number of LUTs and FFs. Some devices also allow LUT6's to be used as 2 LUT5s with common inputs and allow two registers per LUT6.

This means that FFs are often low-cost/free as long as there is some logic between register stages -- unless the tools move the register to another LUT for timing reasons.

It can be useful to know how x,y compare to each other. if x is much larger than y, B is likely the better choice.
 
Thanks TrickyDicky.

A and B are completely different designs trying to achieve the same thing. I do understand that the clock, DSP, BRAM etc are important constraints/meterics.

Maybe paraphrasing the question: Which one A or B would fit first in a resource constrained device? Assuming A beats B in all other resources (DSP, IO, BRAM). Also any idea how you would quantify the difference in area percentage between A and B?

If A uses fewer DSP/BRAM, then A would probably fit better, as it is usually timing into/out of these that cause problems. But it is still far too open a question.
Which has the higher FMax? which is the better written code? Which is more configurable?

I would never base a decision over which design was "better" simply over FF/Lut usage. A well written, but larger, design is arguable "better" than a smaller badly written one.
 

Thanks guys!

I guess by better I meant in terms of resource. I know both designs work and well written. Given all information, would I choose the design with less FF or LUT was my question. vGoodtimes's answer of FF being low-cost/free makes sense to me and is in the direction I want. In my actual case y = x/2.
 

Thanks guys!

I guess by better I meant in terms of resource. I know both designs work and well written. Given all information, would I choose the design with less FF or LUT was my question. vGoodtimes's answer of FF being low-cost/free makes sense to me and is in the direction I want. In my actual case y = x/2.
Depends on what the definition of better is. A design with less FFs is likely to use less power if the FF toggle rates are equivalent between the two designs.
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top