Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Very large delay, setup-time violation, openMSP430 using design compiler

Status
Not open for further replies.

sitawman

Newbie level 5
Joined
Dec 7, 2016
Messages
8
Helped
0
Reputation
0
Reaction score
0
Trophy points
1
Activity points
66
Hi, im trying to synthesize the openMSP40 processor using design compiler, using the saed90nm library, can anyone tell me why im getting this very large delay on the AND gate.. thanks! Screenshot_20170825_101038.png
 

Attachments

  • Screenshot_20170825_100918.png
    Screenshot_20170825_100918.png
    176.4 KB · Views: 114

probably because it has a huge load. your report doesn't show loads.
 
i have also used saed90nm library provided by synopsys but this pdk are not realistic its is only for learn tool .
its for learning tool and for small design.
you must have to use standard pdk like UMC, TSMC, SCL etc.
 

i have also used saed90nm library provided by synopsys but this pdk are not realistic its is only for learn tool .
its for learning tool and for small design.
you must have to use standard pdk like UMC, TSMC, SCL etc.

there is nothing wrong with using SAED library. this is not why OP is seeing a huge delay.
 

there is nothing wrong with using SAED library. this is not why OP is seeing a huge delay.

if you add input/output delay constrain file (.sdc) or added input/output delay "attribute "then this problem may came.
remove all attributive then simulate and check results after that.
 

if you add input/output delay constrain file (.sdc) or added input/output delay "attribute "then this problem may came.
remove all attributive then simulate and check results after that.

That is not the case, input delays would not show up as delay of the output of a std cell. Check the screenshot again. It is very likely a huge load, maybe a reset signal like pointed out in replies #2 and #3.
 
Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top