Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

how to understand Xilinx CORDIC V6.0 atan input method

Status
Not open for further replies.

DilshanSampath

Newbie level 6
Joined
Aug 5, 2017
Messages
11
Helped
0
Reputation
0
Reaction score
0
Trophy points
1
Activity points
101
Hi all,
I am confused with giving input to the Xilinx CORDIC IP. My target is to use this IP to calculate atan function of 32 bit wide input and take output as 32 bit wide output. So I set configuration as follow. 1.PNG

When instantiating IP, it is asked me to input 64 bit wide data. So in my test bench I gave input as 64 bit wide input by combining x axis coordinate and y axis coordinate. My input is

x=0.625 => 00101 0000 00000 00000 0000 0000 00000
y=0.5 => 0010 0000 0000 0000 0000 0000 0000 0000

by combining these two,
input = 0010 1000 0000 0000 0000 0000 0000 0000 0010 0000 0000 0000 0000 0000 0000 0000

So my expected output is atan(0.5/0.625) = 0.6747409422 => 000.1 0101 1001 0111 0111 1010 0101 0001

But my output from core is 0001 1100 1010 1100 0111 1100 0101 0111= 0.89605538360774

I am confused with this output and If anyone can point me where I did wrong, it is very kind pf you. Thank You.
 

:bang: nothing to say. Thank you very much. :)

- - - Updated - - -

By the way, can you explain me this one too?
When I configure IP core input width and output width to 10 bits, why instantiation template asks me to give input as 32 bits? And output data width will be also 16 bits? What is this extra 6 bits for?And why?
Thank you.
 

A Xilinx user should tell. I'm under the impression that you can choose input and output widths in the specified range when instantiating the core.
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top