Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Synthesis: Check_Design Report too many warnings

Status
Not open for further replies.

Johannah

Newbie level 6
Joined
Apr 11, 2017
Messages
11
Helped
0
Reputation
0
Reaction score
0
Trophy points
1
Activity points
102
Hello everyone

I have run DC. There were no error in my reports but there were a lot of warnings. I have attached some of the screenshots in my "check_design" report. Is this the reason why my formality test failed? If so, how can I reduced or eliminate the problem.
 

Attachments

  • rpt1.PNG
    rpt1.PNG
    24.6 KB · Views: 135
  • rpt2.PNG
    rpt2.PNG
    9 KB · Views: 113

For one thing, it looks like you've got outputs connected to outputs. Not sure why that's only a warning and not an error. But I think you need to fix the problems that are causing the warnings if you don't want to see them...
 

barry I don't think the report is showing that outputs are shorted together.

The report is saying you have an output port that is driven by a logic 1, i.e. the source of the output port is a logic 1.

I also think there might be a connection problem on the alu_stat_wr[2] and alu_stat_wr[3] that needs to be looked at. Maybe the same statement is driving both ports.
 

is this ASIC or FPGA? looks like you forgot to add tiehi/tielo cells to the design.
 

Also your debug probe, is it 1 bit size connected to a std_logic_vector? you may need to configure the tool
 

Also your debug probe, is it 1 bit size connected to a std_logic_vector? you may need to configure the tool

Im not sure where you see this - but this is not a problem. Often, 1 bit vectors come about when you have a component with a port sized by a generic (or it is generated code) and the size is set to 1.

std_logic_vector(0 downto 0);
 

#6 I'm aware of that

What it looked like was
deb_0 <= sig1
deb_0 <= sig2

Because all the signals are on the same net.

Whereas, what I'd expect would be.
deb_0(0) <= sig1
deb_0(1) <= sig2

Anyway. I believe I misread the error messages earlier so the point is moot.
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top