Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Route 455: CLK Net may have excessive skew

Status
Not open for further replies.

sonika111

Member level 2
Joined
Jan 11, 2011
Messages
50
Helped
1
Reputation
2
Reaction score
1
Trophy points
1,288
Activity points
1,716
While implementing designs such as counters I get warning such as Route 455: CLK Net may have excessive skew . I am not using any constraint file.

Can that be reason? In that case can I ignore this??
 

You may not be using a clock buffer, and that's causing the message. But why aren't you using a constraint? You may end up with a design that only runs at 60Hz.
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top