Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

How to include the sub-modules in top module ?

Status
Not open for further replies.

carrot

Full Member level 3
Joined
Feb 23, 2004
Messages
182
Helped
9
Reputation
18
Reaction score
4
Trophy points
1,298
Location
Bangalore, India
Activity points
1,532
Hi,

Can anyone tell how to include the sub-modules in top module in Nc-sim?
If i use in topmodule 'include submodule.v, while compiling it is giving "cannot open file "submodule.v"
 

Hi, carrot

Why not instance the sub-module in top module?

If you plan to use the "include", please tell the simulator the path of sub-module file.

Good Luck
 

just compile it .
if submodule are independent .
you must ncelab "top sub" to include the submodule in your database.
 

I have't used ncsim for a long time. However, I think you may do it as the follows:

1)
use 'include "sub-module.v" in your code, and put sub-module.v in the working
directory.

2)
in the command line, provide the path to sub-module.v

ncverilog -Iyour_directory_for_sub_modules top_module.v

I am not sure it will work. please try it!

Good luck.
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top