Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

How can I use a vpwlf source with variable for filename in AMS simulator (with UNL)?

Status
Not open for further replies.

Yuriy_F

Newbie level 2
Joined
Jun 2, 2015
Messages
2
Helped
0
Reputation
0
Reaction score
0
Trophy points
1
Activity points
27
I found the same topic for the spectre simulator:
https://support.cadence.com/wps/mypoc/cos?uri=deeplinkmin:ViewSolution;solutionNumber=11366978
https://www.designers-guide.org/Forum/YaBB.pl?num=1395339788
But actions described there not suitable for "AMS Unified Netlister".
UNL take the cdf simulation information from ams section.
I performed the actions from topic in cdf section for ams simulator, but it did not help.

In my irun.log file written (when i use UNL Netlister):

Error found by spectre during initial setup.
ERROR (CMI-2011): Unable to open waveform file `cds_globals.pwl_file'.
No such file or directory.

'pwl_file' - is the name of the design variable (indicated in vpwlf source) for vpwlf-files.

`cds_globals.pwl_file' - is the link to the cds_globals.vams file, where stored global signals and design variables (including 'pwl_file').

I supose, the link incorrectly passed to the netlist.vams file by the netlister.
In the netlist.vams file written:

vsource #(.type("pwl"), .file("cds_globals.pwl_file")) V0 (n[3], cds_globals.\gnd! );

But should be written:

vsource #(.type("pwl"), .file(cds_globals.pwl_file)) V0 (n[3], cds_globals.\gnd! );

Here excessive double quotes around the cds_globals.pwl_file.
Without this double quotes netlist.vams file can be successfully simulated.
May be one of the netlist procedures of "AMS Unified Netlister" incorrectly pass information from cds_globals.vams file to the netlist.vams file?
Or May be some error presented in the cdf siminfo for ams simulator?
When i use OSS netlister (instead of UNL) the same testbench circuit (with the same vpwlf source and the same design variable 'pwl_file') are successfully simulated.
When i use OSS netlister the tool is successfully locate the pwl_file.
 

Status
Not open for further replies.

Similar threads

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top