Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

communication with PC from fpga?

Status
Not open for further replies.

dipin

Full Member level 4
Joined
Jul 16, 2014
Messages
223
Helped
14
Reputation
28
Reaction score
14
Trophy points
18
Activity points
1,731
communication with pc fro fpga

hi,

i am using a cyclone 5 sockit. my design is working fine in fpga and the output is coming to a 46 bit register in fpga at a speed of 200hz.

is there any way to save these result to my computer?

In sockit threre is an uart and ethernet. is it possible to store the result to my computer using any of these?

i just want a simple way to store the result..is there any other way which will be a simple one?

any help one topic is really appreciated.

regards
 

Re: communication with pc fro fpga

You'll probably need to write a simple PC application that captures the data over the UART.
 
  • Like
Reactions: dipin

    dipin

    Points: 2
    Helpful Answer Positive Rating
Re: communication with pc fro fpga

Write to the serial port and capture the data using a serial terminal. This way you won't have to write any software on PC side. There's lot's of good choices of serial terminals, each having it's strengths and weaknesses. I used to use the on from Br@y++ a lot:
https://sites.google.com/site/terminalbpp/
 
  • Like
Reactions: dipin

    dipin

    Points: 2
    Helpful Answer Positive Rating
Re: communication with pc fro fpga

hi,
is there any software available for this? that i can download and install in my pc
and what about rs 232 protocol for this?
i dont even have any idea where to start :(
regards
 

Re: communication with pc fro fpga

You'll probably need to write a simple PC application that captures the data over the UART.

hi,
can you please give a little more information about this ?

thanks and regards
 

Re: communication with pc fro fpga

A bit different reply...

If you can make TeraTerm recognize the serial port then data coming in from FPGA to PC can be displayed in the TeraTerm console. It is then possible to manually save the data to a file from the console.
 
  • Like
Reactions: dipin

    dipin

    Points: 2
    Helpful Answer Positive Rating
Re: communication with pc fro fpga

hi
i decided to use an uart to usb port in my fpga(serial port). for that i decided to use an uart rs232 quartus ip in my design.
but there is a 31 bit input and output register in ip. so i got few doubts in that. i didnt got any demo program using rs 232 ip. so anybody got a demo program or some information about how to give input to 32 bit register (else how to use it)please share it .it will be very helpful for me

i am using embedded command shell in my pc....

thanks
 

Re: communication with pc fro fpga

hi,


// rs232_uart.v

// Generated using ACDS version 16.0 211

`timescale 1 ps / 1 ps
module rs232_uart (
input wire address, // avalon_rs232_slave.address
input wire chipselect, // .chipselect
input wire [3:0] byteenable, // .byteenable
input wire read, // .read
input wire write, // .write
input wire [31:0] writedata, // .writedata
output wire [31:0] readdata, // .readdata
input wire clk, // clk.clk
input wire UART_RXD, // external_interface.RXD
output wire UART_TXD, // .TXD
output wire irq, // interrupt.irq
input wire reset // reset.reset
);

rs232_uart_rs232_0 rs232_0 (
.clk (clk), // clk.clk
.reset (reset), // reset.reset
.address (address), // avalon_rs232_slave.address
.chipselect (chipselect), // .chipselect
.byteenable (byteenable), // .byteenable
.read (read), // .read
.write (write), // .write
.writedata (writedata), // .writedata
.readdata (readdata), // .readdata
.irq (irq), // interrupt.irq
.UART_RXD (UART_RXD), // external_interface.export
.UART_TXD (UART_TXD) // .export
);

endmodule

above one is rs 232 uart module, did any have any user manual or know how to use it?

i didnt find any user manual for this, so i dont know what is the values for those control registers .

is there anything iam missing here.. for transferring my values to pc ??

can anybody help me on this ?

thanks and regards
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top