Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Block RAM using IPcore

Status
Not open for further replies.

emerson_11

Member level 2
Joined
Jan 23, 2016
Messages
44
Helped
1
Reputation
2
Reaction score
1
Trophy points
8
Activity points
355
I have created a BRAM using Ipcore and i have loaded my values through .coe file. I want to call those values into my multiplier. Could anyone guide me how to call those values into my multiplier in verilog? Thanks in advance.
 

At the rising edge of the clk you specify the address of the BRAM from where data has to be read and simultaneously assert the write_enable signal LOW (intention is to read). Then you will get the data after 1 or 2 clocks. You can feed this data into a register and continue with the rest of the design.

In this https://www.edaboard.com/threads/188786/ post upto #5 will be helpful to you.
 
Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top